Docsity
Docsity

Prepare for your exams
Prepare for your exams

Study with the several resources on Docsity


Earn points to download
Earn points to download

Earn points by helping other students or get them with a premium plan


Guidelines and tips
Guidelines and tips

Design 8to1Multiplexer using appropriate software like VHDL (xilinx)., Assignments of Verilog and VHDL

Design 8to1Multiplexer using appropriate software like VHDL (xilinx).

Typology: Assignments

2012/2013

Available from 04/17/2023

rakeshasery
rakeshasery 🇮🇳

55 documents

1 / 2

Toggle sidebar

This page cannot be seen from the preview

Don't miss anything!

bg1
RAKESH ASERY(09EC73)
OBJECT :-Design 8to1Multiplexer using appropriate software like VHDL (xilinx).
8to1Multiplexer CODING :-
entity RA81MUX is
Port ( I : in std_logic_vector(7 downto 0);
S : in std_logic_vector(2 downto 0);
O : out std_logic);
end RA81MUX;
architecture Behavioral of RA81MUX is
begin
with S select
O <= I(7) when "111",
I(6) when "110",
I(5) when "101",
I(4) when "100",
I(3) when "011",
I(2) when "010",
I(1) when "001",
I(0) when "000",
I(0) when others;
end Behavioral;
SCHEMATIC DIAGRAM :-
pf2

Partial preview of the text

Download Design 8to1Multiplexer using appropriate software like VHDL (xilinx). and more Assignments Verilog and VHDL in PDF only on Docsity!

RAKESH ASERY(09EC73)

OBJECT :-Design 8to1Multiplexer using appropriate software like VHDL (xilinx). 8to1Multiplexer CODING :- entity RA81MUX is Port ( I : in std_logic_vector(7 downto 0); S : in std_logic_vector(2 downto 0); O : out std_logic); end RA81MUX; architecture Behavioral of RA81MUX is begin with S select O <= I(7) when "111", I(6) when "110", I(5) when "101", I(4) when "100", I(3) when "011", I(2) when "010", I(1) when "001", I(0) when "000", I(0) when others; end Behavioral; SCHEMATIC DIAGRAM :-

RAKESH ASERY(09EC73)

TRUTH TABLE :-

LOGIC DIAGRAM & K MAP :-

RESULT:- We have successfully design 8to1Multiplexer.